CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - traffic light controller

搜索资源列表

  1. zhuangtaijijiaotongdeng

    0下载:
  2. 利用VHDL设计的另外一种方法的交通灯程序。在controller模块中设置了2个进程,其中一个用来控制内置计数器的增加,一个用来控制交通灯的显示。从controller模块中出来的时间是2位的BCD码,要进行数码管显示就需要得到2个一位的BCD码,因此就需要利用separate模块来实现。separate模块的思想也比较简单,就是利用比较然后得到相应的十位数和个位数。-In the controller module set up two processes, one of which is
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:13439
    • 提供者:
  1. MicrocontrollerbasedcontrolotrafficlightsGraduatio

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:146313
    • 提供者:买永乐
  1. uriuiuyietyrwy

    0下载:
  2. 交通灯模拟控制器 是课程设计的一个结果 用了很多届学生 -Traffic Light simulation controller is a result of curriculum design, a lot of sessions with students
  3. 所属分类:assembly language

    • 发布日期:2017-05-02
    • 文件大小:540420
    • 提供者:wuzhihao
  1. plc

    1下载:
  2. QSPLC系列可编程控制器实验 数码显示的模拟控制 交通灯的模拟控制(控制过程、I/O分配、控制语句表、梯形图)-QSPLC series programmable controller experimental digital display analog analog control traffic light control (control process, I/O distribution, control statement table, ladder)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:308530
    • 提供者:马琳
  1. Trafficsignalcontroller

    0下载:
  2. 交通灯控制器 在十字路口的两个方向上各设一组红绿黄等,显示顺序为:其中一个方向是绿灯,黄灯,红灯,另一个方向是红灯,绿灯,黄灯。-Traffic signal controller at the crossroads of two directions, each with a set of red, green and yellow, shows the following order: one direction is green, yellow, red, and the other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2137
    • 提供者:Xin Lu
  1. lkj

    0下载:
  2. 基于VHDL的两种交通灯信号控制器的设计与比较 -Vhdl based on two of the traffic light signal controller the design and more
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:199003
    • 提供者:陈军红
  1. jiaotongdeng

    0下载:
  2. 并行接口8255,定时/计时器8253,中断控制器8259A与计算机相连,通过8253产生1Hz的方波,并编程得到计时器,然后利用8259的中断功能和8255的并口输出数据功能做了一实时操作系统,来模拟十字路口交通灯的模拟-8255 parallel interface, timer/timer 8253, 8259A interrupt controller connected to a computer, by 8253 produce 1Hz square wave, and progra
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:37927
    • 提供者:王琦
  1. rgy

    0下载:
  2. 交通灯信号控制器用于主干道与支道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于“主干道绿灯,支道红灯”状态,只有在支道有车辆要穿行主干道时,才将交通灯切向“主干道红灯,支道绿灯”,一旦支道无车辆通过路口,交通灯又回到“主干道绿灯,支道红灯”的状态。-Traffic signal controller to the main road intersection with Bypass Road, requested a priority to ensure the smooth flo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:690
    • 提供者:徐子孑
  1. jiaotongdengkongzhiqi

    0下载:
  2. 设计一个用于十字路口的交通灯控制器。 基本要求: 东西和南北方向各有一组红、黄、绿灯用于指挥交通,红、黄、绿的持续时间分别为25s,5s,20s。 当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。 一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。 -Design a controller for a traffic light intersection. Basic requirements: north-s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:140791
    • 提供者:唐晓明
  1. ISE_lab14

    0下载:
  2. 采用EDA技术,并应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制 器的设计。掌握使用VHDL语言设计有限状态机的方法。-With EDA technology and application of the widely used hardware descr iption language VHDL, to achieve traffic light system controller design. Master the use of VHDL language desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:517682
    • 提供者:zhangsheng
  1. led

    0下载:
  2. 设计一个交通信号灯控制器,在十字路口每个入口处设置红、绿色信号灯,红灯亮禁止通行,绿灯亮允许通行-Design a traffic signal controller, set at the crossroads at the entrance of each red, green lights, red light against traffic, the green light to allow passage
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:767
    • 提供者:张庆峰
  1. trafficlight

    0下载:
  2. 交通信号控制器的VHDL设计 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间 -Traffic signal controller VHDL design design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1317406
    • 提供者:陈仲海
  1. traffic

    0下载:
  2. CLK: 为同步时钟; EN: 使能信号,为1的话,则控制器开始工作; LAMPA: 控制A方向四盏灯的亮灭;其中,LAMPA0~LAMPA3 ,分别控制A方向的-CLK: synchronized clock EN: Enable signal is 1, then the controller starts to work LAMPA: control the direction A four lamp light off which, LAMPA0, ~ LAMPA3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:321052
    • 提供者:happy
  1. VHDL

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。-And select and use Descr iption Language applying broader VHDL hardware circuit at present in capital being designed, the hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:265860
    • 提供者:陈金峰
  1. VHDL_JTD

    0下载:
  2. 设计任务要求 控制器部分的状态转移图和流程图;交通灯控制器:用于十字路口的交通灯控制器。实验要求: (1)东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s 。 (2)当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。 (3)两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。-Part of the design task requires the controller st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:146654
    • 提供者:zzx
  1. trafficLight_editedversion

    0下载:
  2. Traffic light problems in verilog code. Consider a controller for traffic at the intersection of a main highway and a country road The traffic signal for the main highway gets highest priority because cars are continuously present on the main hi
  3. 所属分类:Project Design

    • 发布日期:2017-11-10
    • 文件大小:959
    • 提供者:awerjiop
  1. EDAjiaotongdeng

    0下载:
  2. 简单交通灯控制:控制器、译码器、计数器-Simple traffic light control: controller, decoder, counter .......
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:850811
    • 提供者:青春飞扬
  1. KeilC

    1下载:
  2. 基于单片机的交通灯信号控制器设计,keil程序,包括c文件,hex文件-Microcontroller based traffic light signal controller design, keil program, including the c file, hex files, etc.
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:25897
    • 提供者:邓毅
  1. traffic

    0下载:
  2. 交叉口多相位信号控制机,包含分频模块及主控模块,各方向绿灯时间不同,12个led灯分别控制-Multi Phase signal controller with frequency module and main control module, the green light in each direction at different times, 12 led lights control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:83049
    • 提供者:李祥云
  1. jiaotongdeng

    0下载:
  2. 交通信号灯自动控制器,能下载到FPGA开发板,自动交通灯控制程序,由VHDL编写,环境为QUTUS2-Traffic signal controller, can be downloaded to the FPGA development board, automatic traffic light control procedures, written by VHDL environment QUTUS2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:1807983
    • 提供者:dengnana
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com